ENSEA Linux FPGA Introduction PDF
Document Details
Uploaded by FeasibleLightYear
ENSEA
Laurent Fiack
Tags
Summary
This document provides an introduction to Linux FPGA, covering installation instructions, and basic concepts. It's targeted at ENSAE students or anyone new to the field.
Full Transcript
LINUX FPGA Introduction Laurent Fiack – [email protected] Bureau D212 Sommaire 1 Tutoriel Modelsim 2 Qu’est-ce qu’un FPGA? 3 Langages HDL 4 Présentation du hardware RTOS 2 / 43 Tutoriel Model...
LINUX FPGA Introduction Laurent Fiack – [email protected] Bureau D212 Sommaire 1 Tutoriel Modelsim 2 Qu’est-ce qu’un FPGA? 3 Langages HDL 4 Présentation du hardware RTOS 2 / 43 Tutoriel Modelsim Installer modelsim Le VHDL s’apprend par la pratique Beaucoup de TD Machine Avec un simulateur Installer la version 20.1.1 de Modelsim, disponible à l’adresse suivante : https://www.intel.com/content/www/us/en/software-kit/750666/ modelsim-intel-fpgas-standard-edition-software-version-20-1-1.html C’est la dernière version utilisable sans licence :( Prévoir 5 GB L’éditeur de modelsim (et celui de quartus) sont nuls. Vous pouvez installer autre chose, comme VSCode. Dans ce cas, installez aussi une extension pour le vhdl. RTOS 3 / 43 Tutoriel Modelsim composant nul.vhd library ieee; use ieee.std_logic_1164.all; Créez un nouveau dossier entity composant_nul is tuto modelsim port ( Pas d’espaces, pas d’accents, sw : in std_logic; pas de Drive led : out std_logic Lancer VSCode (ou autre) ); Copiez le code ci-contre end entity composant_nul; (Pensez à votre prof : architecture rtl of composant_nul is respectez l’indentation) begin led